log vdp.c @ 1380:9a5352a2f57a

age author description
Mon, 29 May 2017 22:19:33 -0700 Michael Pavone Implement horizontal border in Mode 4 and make a minor fix to advance_output_line to handle the later vcounter increment in that mode